دانلود مقاله انگلیسی رایگان:معماری دندانه دار کردن برای مقیاس دندانه دار کردن محاسبات داده های بزرگ - 2017
دانلود بهترین مقالات isi همراه با ترجمه فارسی 2

با سلام خدمت کاربران در صورتی که با خطای سیستم پرداخت بانکی مواجه شدید از طریق کارت به کارت (6037997535328901 بانک ملی ناصر خنجری ) مقاله خود را دریافت کنید (تا مشکل رفع گردد). 

دانلود مقاله انگلیسی داده های بزرگ رایگان
  • Composable architecture for rack scale big data computing Composable architecture for rack scale big data computing
    Composable architecture for rack scale big data computing

    دسته بندی:

    داده های بزرگ - big data


    سال انتشار:

    2017


    عنوان انگلیسی مقاله:

    Composable architecture for rack scale big data computing


    ترجمه فارسی عنوان مقاله:

    معماری دندانه دار کردن برای مقیاس دندانه دار کردن محاسبات داده های بزرگ


    منبع:

    Sciencedirect - Elsevier - Future Generation Computer Systems 67 (2017) 180–193


    نویسنده:

    Chung-Sheng Li a, Hubertus Franke a, Colin Parris b, Bulent Abali a, Mukil Kesavan c, Victor Chang d,∗


    چکیده انگلیسی:

    The rapid growth of cloud computing, both in terms of the spectrum and volume of cloud workloads, necessitates re-visiting the traditional rack-mountable servers based datacenter design. Next generation datacenters need to offer enhanced support for: (i) fast changing system configuration requirements due to workload constraints, (ii) timely adoption of emerging hardware technologies, and (iii) maximal sharing of systems and subsystems in order to lower costs. Disaggregated datacenters, constructed as a collection of individual resources such as CPU, memory, disks etc., and composed into workload execution units on demand, are an interesting new trend that can address the above challenges. In this paper, we demonstrate the feasibility of composable systems through building a rack scale composable system prototype using PCIe switches. Through empirical approaches, we develop an assessment of the opportunities and challenges for leveraging the composable architecture for rack scale cloud datacenters with a focus on big data and NoSQL workloads. In particular, we compare and contrast the programming models that can be used to access the composable resources, and develop the implications for the network and resource provisioning and management for rack scale architecture.
    Keywords:Big data platforms|Composable system architecture|Disaggregated datacenter architecture|Composable datacenter|Software defined |environments|Software defined networking


    سطح: متوسط
    تعداد صفحات فایل pdf انگلیسی: 14
    حجم فایل: 1925 کیلوبایت

    قیمت: رایگان


    توضیحات اضافی:




اگر این مقاله را پسندیدید آن را در شبکه های اجتماعی به اشتراک بگذارید (برای به اشتراک گذاری بر روی ایکن های زیر کلیک کنید)

تعداد نظرات : 0

الزامی
الزامی
الزامی
rss مقالات ترجمه شده rss مقالات انگلیسی rss کتاب های انگلیسی rss مقالات آموزشی
logo-samandehi
بازدید امروز: 1862 :::::::: بازدید دیروز: 0 :::::::: بازدید کل: 1862 :::::::: افراد آنلاین: 66