دانلود مقاله انگلیسی رایگان:بزرگ در مقابل هسته برای محاسبات هادوپ انرژی کارآمد - 2018
بلافاصله پس از پرداخت دانلود کنید

با سلام خدمت کاربران در صورتی که با خطای سیستم پرداخت بانکی مواجه شدید از طریق کارت به کارت (6037997535328901 بانک ملی ناصر خنجری ) مقاله خود را دریافت کنید (تا مشکل رفع گردد). 

دانلود مقاله انگلیسی داده های بزرگ رایگان
  • Big vs little core for energy-efficient Hadoop computing Big vs little core for energy-efficient Hadoop computing
    Big vs little core for energy-efficient Hadoop computing

    دسته بندی:

    داده های بزرگ - big data


    سال انتشار:

    2018


    عنوان انگلیسی مقاله:

    Big vs little core for energy-efficient Hadoop computing


    ترجمه فارسی عنوان مقاله:

    بزرگ در مقابل هسته برای محاسبات هادوپ انرژی کارآمد


    منبع:

    Sciencedirect - Elsevier - J: Parallel Distrib: Comput:, Corrected proof: doi:10:1016/j:jpdc:2018:02:017


    نویسنده:

    Maria Malik a,*, Katayoun Neshatpour a, Setareh Rafatirad b, Rajiv V. Joshi e, Tinoosh Mohsenin c, Hassan Ghasemzadeh d, Houman Homayoun a


    چکیده انگلیسی:

    Emerging big data applications require a significant amount of server computational power. However, the rapid growth in the data yields challenges to process them efficiently using current high-performance server architectures. Furthermore, physical design constraints, such as power and density, have become the dominant limiting factor for scaling out servers. Heterogeneous architectures that combine big Xeon cores with little Atom cores have emerged as a promising solution to enhance energy-efficiency by allowing each application to run on an architecture that matches resource needs more closely than a one size-fits-all architecture. Therefore, the question of whether to map the application to big Xeon or little Atom in heterogeneous server architecture becomes important. In this paper, through a comprehensive system level analysis, we first characterize Hadoop-based MapReduce applications on big Xeon and little Atom-based server architectures to understand how the choice of big vs little cores is affected by various parameters at application, system and architecture levels and the interplay among these parameters. Second, we study how the choice between big and little core changes across various phases of MapReduce tasks. Furthermore, we show how the choice of most efficient core for a particular MapReduce phase changes in the presence of accelerators. The characterization analysis helps guiding scheduling decisions in future cloud-computing environment equipped with heterogeneous multicore architectures and accelerators. We have also evaluated the operational and the capital cost to understand how performance, power and area constraints for big data analytics affect the choice of big vs little core server as a more cost and energy efficient architecture.
    Keywords: Heterogeneous architectures ، Hadoop ، MapReduce ، Energy and cost efficiency ، Big and little cores ، Scheduling


    سطح: متوسط
    تعداد صفحات فایل pdf انگلیسی: 15
    حجم فایل: 2767 کیلوبایت

    قیمت: رایگان


    توضیحات اضافی:




اگر این مقاله را پسندیدید آن را در شبکه های اجتماعی به اشتراک بگذارید (برای به اشتراک گذاری بر روی ایکن های زیر کلیک کنید)

تعداد نظرات : 0

الزامی
الزامی
الزامی
rss مقالات ترجمه شده rss مقالات انگلیسی rss کتاب های انگلیسی rss مقالات آموزشی
logo-samandehi
بازدید امروز: 1555 :::::::: بازدید دیروز: 3084 :::::::: بازدید کل: 4639 :::::::: افراد آنلاین: 12